Need Help : 7 segment LED displays and PIC

Moderator: Benj

Post Reply
hurdle_run
Posts: 3
Joined: Tue Feb 07, 2017 11:18 am
Has thanked: 2 times
Been thanked: 1 time
Contact:

Need Help : 7 segment LED displays and PIC

Post by hurdle_run »

Hi,

I am a hobbyist and a newbie in graphical microcontroller programming. I discovered Flowcode lately, which has I think the most user friendly and easy to understand graphical user interface.

While exploring Flowcode I came across with a hurdle, actually I am trying to build a simple 2-digit counter and for that I am using PIC16F877A mcu. I agree that it has many input/output pins but I wont be working with the same MCU every time and hence don't want to spare 18 input/output pins just for driving two 7 seg LED displays.

I came to know about a technique called multiplexing but not sure if it could be implemented with Flowcode. If yes then kindly show how it could be done. I am attaching the piece of software I made so that it may be modified accordingly.
Attachments
Connections_2nd 7seg_led_display_mod.jpg
(297.85 KiB) Downloaded 1503 times
Connections_1st 7seg_led_display_mod.jpg
(299.43 KiB) Downloaded 1503 times
7 seg counter.fcf
2-DIGIT COUNTER
(10.5 KiB) Downloaded 503 times

User avatar
Benj
Matrix Staff
Posts: 15312
Joined: Mon Oct 16, 2006 10:48 am
Location: Matrix TS Ltd
Has thanked: 4803 times
Been thanked: 4314 times
Contact:

Re: Need Help : 7 segment LED displays and PIC

Post by Benj »

Hello,
I came to know about a technique called multiplexing but not sure if it could be implemented with Flowcode.
It certainly is possible. This should help.
http://www.matrixtsl.com/courses/itm/in ... imingRight

Flowcode v7 is far superior to v5 and there is a free 30 day trial available if you want to have a go with the latest version.
http://www.matrixtsl.com/flowcode/

hurdle_run
Posts: 3
Joined: Tue Feb 07, 2017 11:18 am
Has thanked: 2 times
Been thanked: 1 time
Contact:

Re: Need Help : 7 segment LED displays and PIC

Post by hurdle_run »

Hi Benj,

Thanks a lot for the suggestion.

User avatar
Benj
Matrix Staff
Posts: 15312
Joined: Mon Oct 16, 2006 10:48 am
Location: Matrix TS Ltd
Has thanked: 4803 times
Been thanked: 4314 times
Contact:

Re: Need Help : 7 segment LED displays and PIC

Post by Benj »

I've added a better multiplexing example here.

http://www.matrixtsl.com/wikiv7/index.p ... 633f7cf892

Each time the timer interrupt fires it activates a single 7-segment display. Allowing a multi digit numeric value to be shown across several 7-segment displays.

hurdle_run
Posts: 3
Joined: Tue Feb 07, 2017 11:18 am
Has thanked: 2 times
Been thanked: 1 time
Contact:

Re: Need Help : 7 segment LED displays and PIC

Post by hurdle_run »

Thanks again Benj,

Sorry for the delayed response, I am out of station hence didn't check the software u made. I will definitely let u know my progress after a few days.

Thanks and regards

User avatar
Benj
Matrix Staff
Posts: 15312
Joined: Mon Oct 16, 2006 10:48 am
Location: Matrix TS Ltd
Has thanked: 4803 times
Been thanked: 4314 times
Contact:

Re: Need Help : 7 segment LED displays and PIC

Post by Benj »

I didn't like the way that the multiplexed 7-seg displays were working in simulation and so I have played with the component and improved the multiplexing support.

The simulated display will now stay active for up to 100ms after being cleared and so now provides a much nicer flicker free display for use in the simulation.

Have a play and let me know how you get on.

Simply copy the attached file into your "Flowcode 7/components" directory and restart Flowcode.
led_7seg_single.fcpx
(12.27 KiB) Downloaded 425 times

User avatar
Benj
Matrix Staff
Posts: 15312
Joined: Mon Oct 16, 2006 10:48 am
Location: Matrix TS Ltd
Has thanked: 4803 times
Been thanked: 4314 times
Contact:

Re: Need Help : 7 segment LED displays and PIC

Post by Benj »

I've now added another 7seg multiplexing example to the wiki, this time to create a stopwatch accurate to 0.1 of a second and counting up to a maximum of 999.9 seconds.

http://www.matrixtsl.com/wikiv7/index.p ... :_Segment)

Post Reply